Photomask

From Wikipedia, the free encyclopedia

This is an old revision of this page, as edited by JdelaF (talk | contribs) at 01:51, 29 January 2023 (placed EUV section w text from the main EUV pg). The present address (URL) is a permanent link to this revision, which may differ significantly from the current revision.

A photomask
A schematic illustration of a photomask (top) and an IC layer printed using it (bottom)

A photomask is an opaque plate with transparent areas that allow light to shine through in a defined pattern. Photomasks are commonly used in photolithography for the production of integrated circuits (ICs or "chips") to produce a pattern on a thin wafer of material (usually silicon). Several masks are used in turn, each one reproducing a layer of the completed design, and together known as a mask set.

History

For IC production in the 1960s and early 1970s, an opaque rubylith film laminated onto a transparent mylar sheet was used. The design of one layer was cut into the rubylith, initially by hand on an illuminated drafting table (later by machine (plotter)) and the unwanted rubylith was peeled off by hand, forming the master image of that layer of the chip. Increasingly complex and thus larger chips required larger and larger rubyliths, eventually even filling the wall of a room. (Eventually this whole process was replaced by the optical pattern generator to produce the master image). At this point the master image could be arrayed into a multi-chip image called a reticle. The reticle was originally a 10X image of the chip.

The reticle was by step-and-repeater photolithography and etching used to produce a photomask with image-size the same as the final chip. The photomask might be used directly in the fab or be used as master-photomask to produce the final actual working photomasks.

As feature size shrank the only way to properly focus the image was to place it in direct contact with the wafer. These contact aligners often lifted some of the photoresist off the wafer and onto the photomask and it had to be cleaned or discarded. This drove the adoption of reverse master photomasks (see above), which were used to produce (with contact photolithography and etching) the needed many actual working photomasks. Later, projection photo-lithography meant photomask lifetime was indefinite. Still later direct-step-on-wafer stepper photo-lithography used reticles directly and ended the use of photomasks.

Photomask materials changed over time. Initially soda glass was used with silver halide opacity. Later borosilicate and then fused silica to control expansion, and chromium which has better opacity to ultraviolet light were introduced. The original pattern generators have since been replaced by electron beam lithography and laser-driven systems which generate reticles directly from the original computerized design.

Overview

A simulated photomask. The thicker features are the integrated circuit that is desired to be printed on the wafer. The thinner features are assists that do not print themselves but help the integrated circuit print better out-of-focus. The zig-zag appearance of the photomask is because optical proximity correction was applied to it to create a better print.

Lithographic photomasks are typically transparent fused silica plates covered with a pattern defined with a chromium (Cr) or Fe2O3 metal absorbing film.[1] Photomasks are used at wavelengths of 365 nm, 248 nm, and 193 nm. Photomasks have also been developed for other forms of radiation such as 157 nm, 13.5 nm (EUV), X-ray, electrons, and ions; but these require entirely new materials for the substrate and the pattern film.[1]

A set of photomasks, each defining a pattern layer in integrated circuit fabrication, is fed into a photolithography stepper or scanner, and individually selected for exposure. In multi-patterning techniques, a photomask would correspond to a subset of the layer pattern.

In photolithography for the mass production of integrated circuit devices, the more correct term is usually photoreticle or simply reticle. In the case of a photomask, there is a one-to-one correspondence between the mask pattern and the wafer pattern. This was the standard for the 1:1 mask aligners that were succeeded by steppers and scanners with reduction optics.[2] As used in steppers and scanners, the reticle commonly contains only one layer of the designed VLSI circuit. (However, some photolithography fabrications utilize reticles with more than one layer placed side by side onto the same mask).

The pattern is projected and shrunk by four or five times onto the wafer surface.[3] To achieve complete wafer coverage, the wafer is repeatedly "stepped" from position to position under the optical column until full exposure is achieved.

Features 150 nm or below in size generally require phase-shifting to enhance the image quality to acceptable values. This can be achieved in many ways. The two most common methods are to use an attenuated phase-shifting background film on the mask to increase the contrast of small intensity peaks, or to etch the exposed quartz so that the edge between the etched and unetched areas can be used to image nearly zero intensity. In the second case, unwanted edges would need to be trimmed out with another exposure. The former method is attenuated phase-shifting, and is often considered a weak enhancement, requiring special illumination for the most enhancement, while the latter method is known as alternating-aperture phase-shifting, and is the most popular strong enhancement technique.

As leading-edge semiconductor features shrink, photomask features that are 4× larger must inevitably shrink as well. This could pose challenges since the absorber film will need to become thinner, and hence less opaque.[4] A 2005 study by IMEC found that thinner absorbers degrade image contrast and therefore contribute to line-edge roughness, using state-of-the-art photolithography tools.[5] One possibility is to eliminate absorbers altogether and use "chromeless" masks, relying solely on phase-shifting for imaging.

The emergence of immersion lithography has a strong impact on photomask requirements. The commonly used attenuated phase-shifting mask is more sensitive to the higher incidence angles applied in "hyper-NA" lithography, due to the longer optical path through the patterned film.[6]

EUV lithography

EUV photomasks work by reflecting light,[7] which is achieved by using multiple alternating layers of molybdenum and silicon.

Mask error enhancement factor (MEEF)

Leading-edge photomasks (pre-corrected) images of the final chip patterns are magnified by four times. This magnification factor has been a key benefit in reducing pattern sensitivity to imaging errors. However, as features continue to shrink, two trends come into play: the first is that the mask error factor begins to exceed one, i.e., the dimension error on the wafer may be more than 1/4 the dimension error on the mask,[8] and the second is that the mask feature is becoming smaller, and the dimension tolerance is approaching a few nanometers. For example, a 25 nm wafer pattern should correspond to a 100 nm mask pattern, but the wafer tolerance could be 1.25 nm (5% spec), which translates into 5 nm on the photomask. The variation of electron beam scattering in directly writing the photomask pattern can easily well exceed this.[9][10]

Pellicles

The term "pellicle" is used to mean "film", "thin film", or "membrane." Beginning in the 1960s, thin film stretched on a metal frame, also known as a "pellicle", was used as a beam splitter for optical instruments. It has been used in a number of instruments to split a beam of light without causing an optical path shift due to its small film thickness. In 1978, Shea et al. at IBM patented a process to use the "pellicle" as a dust cover to protect a photomask or reticle. In the context of this entry, "pellicle" means "thin film dust cover to protect a photomask".

Particle contamination can be a significant problem in semiconductor manufacturing. A photomask is protected from particles by a pellicle – a thin transparent film stretched over a frame that is glued over one side of the photomask. The pellicle is far enough away from the mask patterns so that moderate-to-small sized particles that land on the pellicle will be too far out of focus to print. Although they are designed to keep particles away, pellicles become a part of the imaging system and their optical properties need to be taken into account. Pellicles material are Nitrocellulose and made for various Transmission Wavelengths.[11]

Pellicle Mounting Machine MLI

Leading commercial photomask manufacturers

The SPIE Annual Conference, Photomask Technology reports the SEMATECH Mask Industry Assessment which includes current industry analysis and the results of their annual photomask manufacturers survey. The following companies are listed in order of their global market share (2009 info):[12]

Major chipmakers such as Intel, Globalfoundries, IBM, NEC, TSMC, UMC, Samsung, and Micron Technology, have their own large maskmaking facilities or joint ventures with the abovementioned companies.

The worldwide photomask market was estimated as $3.2 billion in 2012[13] and $3.1 billion in 2013. Almost half of the market was from captive mask shops (in-house mask shops of major chipmakers).[14]

The costs of creating new mask shop for 180 nm processes were estimated in 2005 as $40 million, and for 130 nm - more than $100 million.[15]

The purchase price of a photomask, in 2006, could range from $250 to $100,000[16] for a single high-end phase-shift mask. As many as 30 masks (of varying price) may be required to form a complete mask set.

See also

References

  1. ^ a b Shubham, Kumar n (2021). Integrated circuit fabrication. Ankaj Gupta. Abingdon, Oxon. ISBN 978-1-000-39644-7. OCLC 1246513110.{{cite book}}: CS1 maint: location missing publisher (link)
  2. ^ Rizvi, Syed (2005). "1.3 The Technology History of Masks". Handbook of Photomask Manufacturing Technology. CRC Press. p. 728. ISBN 9781420028782.
  3. ^ Lithography experts back higher magnification in photomasks to ease challenges // EETimes 2000
  4. ^ Y. Sato et al., Proc. SPIE, vol. 4889, pp. 50-58 (2002).
  5. ^ M. Yoshizawa et al., Proc. SPIE, vol. 5853, pp. 243-251 (2005)
  6. ^ C. A. Mack et al., Proc. SPIE, vol. 5992, pp. 306-316 (2005)
  7. ^ "Archived copy" (PDF). Archived from the original (PDF) on 2017-06-02. Retrieved 2019-06-23.{{cite web}}: CS1 maint: archived copy as title (link)
  8. ^ E. Hendrickx et al., Proc. SPIE 7140, 714007 (2008).
  9. ^ C-J. Chen et al., Proc. SPIE 5256, 673 (2003).
  10. ^ W-H. Cheng and J. Farnsworth, Proc. SPIE 6607, 660724 (2007).
  11. ^ Chris A. Mack (November 2007). "Optical behavior of pellicles". Microlithography World. Retrieved 2008-09-13.
  12. ^ Hughes, Greg; Henry Yun (2009-10-01). "Mask industry assessment: 2009". Proceedings of SPIE. 7488 (1): 748803-748803-13. doi:10.1117/12.832722. ISSN 0277-786X.
  13. ^ Chamness, Lara (May 7, 2013). "Semiconductor Photomask Market: Forecast $3.5 Billion in 2014". SEMI Industry Research and Statistics. Retrieved 6 September 2014.
  14. ^ Tracy, Dan; Deborah Geiger (April 14, 2014). "SEMI Reports 2013 Semiconductor Photomask Sales of $3.1 Billion". SEMI. Retrieved 6 September 2014.
  15. ^ Weber, Charles M.; Berglund, C. Neil (February 9, 2005). "The Mask Shop's Perspective". An Analysis of the Economics of Photomask Manufacturing Part – 1: The Economic Environment (PDF). ISMT Mask Automation Workshop. p. 6. Archived from the original (PDF) on 2016-03-03. Capital-intensive industry. Investment levels….. – ~$40M for 'conventional' (180-nm node or above) – >$100M for 'advanced' (130-nm node and beyond)
  16. ^ Weber, C.M; Berglund, C.N.; Gabella, P. (13 November 2006). "Mask Cost and Profitability in Photomask Manufacturing: An Empirical Analysis" (PDF). IEEE Transactions on Semiconductor Manufacturing. 19 (4). doi:10.1109/TSM.2006.883577; page 23 table 1