Magnetoresistive RAM

From Wikipedia, the free encyclopedia
(Redirected from MRAM)

Magnetoresistive random-access memory (MRAM) is a type of non-volatile random-access memory which stores data in magnetic domains.[1] Developed in the mid-1980s, proponents have argued that magnetoresistive RAM will eventually surpass competing technologies to become a dominant or even universal memory.[2] Currently, memory technologies in use such as flash RAM and DRAM have practical advantages that have so far kept MRAM in a niche role in the market.

Description[edit]

Simplified structure of an MRAM cell[3]

Unlike conventional RAM chip technologies, data in MRAM is not stored as electric charge or current flows, but by magnetic storage elements. The elements are formed from two ferromagnetic plates, each of which can hold a magnetization, separated by a thin insulating layer. One of the two plates is a permanent magnet set to a particular polarity; the other plate's magnetization can be changed to match that of an external field to store memory. This configuration is known as a magnetic tunnel junction and is the simplest structure for an MRAM bit. A memory device is built from a grid of such "cells".

The simplest method of reading is accomplished by measuring the electrical resistance of the cell. A particular cell is (typically) selected by powering an associated transistor that switches current from a supply line through the cell to ground. Because of tunnel magnetoresistance, the electrical resistance of the cell changes with the relative orientation of the magnetization in the two plates. By measuring the resulting current, the resistance inside any particular cell can be determined, and from this the magnetization polarity of the writable plate. Typically if the two plates have the same magnetization alignment (low resistance state) this is considered to mean "1", while if the alignment is antiparallel the resistance will be higher (high resistance state) and this means "0".

Data is written to the cells using a variety of means. In the simplest "classic" design, each cell lies between a pair of write lines arranged at right angles to each other, parallel to the cell, one above and one below the cell. When current is passed through them, an induced magnetic field is created at the junction, which the writable plate picks up. This pattern of operation is similar to magnetic-core memory, a system commonly used in the 1960s.

However, due to process and material variations, an array of memory cells has a distribution of switching fields with a deviation σ. Therefore, to program all the bits in a large array with the same current, the applied field needs to be larger than the mean "selected" switching field by greater than 6σ. In addition,the applied field must be kept below a maximum value. Thus, this "conventional" MRAM must keep these two distributions well-separated. As a result, there is a narrow operating window for programming fields; and only inside this window, can all the bits be programmed without errors or disturbs. In 2005, a "Savtchenko switching" relying on the unique behavior of a synthetic antiferromagnet (SAF) free layer is applied to solve this problem.[4] The SAF layer is formed from two ferromagnetic layers separated by a nonmagnetic coupling spacer layer. For a synthetic antiferromagnet having some net anisotropy Hk in each layer, there exists a critical spin flop field Hsw at which the two antiparallel layer magnetizations will rotate (flop) to be orthogonal to the applied field H with each layer scissoring slightly in the direction of H. Therefore, if only a single line current is applied (half-selected bits), the 45° field angle cannot switch the state. Below the toggling transition, there are no disturbs all the way up to the highest fields.

This approach still requires a fairly substantial current to generate the field, however, which makes it less interesting for low-power uses, one of MRAM's primary disadvantages. Additionally, as the device is scaled down in size, there comes a time when the induced field overlaps adjacent cells over a small area, leading to potential false writes. This problem, the half-select (or write disturb) problem, appears to set a fairly large minimal size for this type of cell. One experimental solution to this problem was to use circular domains written and read using the giant magnetoresistive effect, but it appears that this line of research is no longer active.

A newer technique, spin-transfer torque (STT) or spin-transfer switching, uses spin-aligned ("polarized") electrons to directly torque the domains. Specifically, if the electrons flowing into a layer have to change their spin, this will develop a torque that will be transferred to the nearby layer. This lowers the amount of current needed to write the cells, making it about the same as the read process.[citation needed] There are concerns that the "classic" type of MRAM cell will have difficulty at high densities because of the amount of current needed during writes, a problem that STT avoids. For this reason, the STT proponents expect the technique to be used for devices of 65 nm and smaller.[5] The downside is the need to maintain the spin coherence. Overall, the STT requires much less write current than conventional or toggle MRAM. Research in this field indicates that STT current can be reduced up to 50 times by using a new composite structure.[6] However, higher-speed operation still requires higher current.[7]

Other potential arrangements include "vertical transport MRAM" (VMRAM), which uses current through a vertical column to change magnetic orientation, a geometric arrangement that reduces the write disturb problem and so can be used at higher density.[8]

A review article[9] provides the details of materials and challenges associated with MRAM in the perpendicular geometry. The authors describe a new term called "Pentalemma", which represents a conflict in five different requirements such as write current, stability of the bits, readability, read/write speed and the process integration with CMOS. The selection of materials and the design of MRAM to fulfill those requirements are discussed.

Comparison with other systems[edit]

Density[edit]

The main determinant of a memory system's cost is the density of the components used to make it up. Smaller components, and fewer of them, mean that more "cells" can be packed onto a single chip, which in turn means more can be produced at once from a single silicon wafer. This improves yield, which is directly related to cost.

DRAM uses a small capacitor as a memory element, wires to carry current to and from it, and a transistor to control it – referred to as a "1T1C" cell. This makes DRAM the highest-density RAM currently available, and thus the least expensive, which is why it is used for the majority of RAM found in computers.

MRAM is physically similar to DRAM in makeup, and often does require a transistor for the write operation (though not strictly necessary). The scaling of transistors to higher density necessarily leads to lower available current, which could limit MRAM performance at advanced nodes.

Power consumption[edit]

Since the capacitors used in DRAM lose their charge over time, memory assemblies that use DRAM must refresh all the cells in their chips several times a second, reading each one and re-writing its contents. As DRAM cells decrease in size it is necessary to refresh the cells more often, resulting in greater power consumption.

In contrast, MRAM never requires a refresh. This means that not only does it retain its memory with the power turned off but also there is no constant power-draw. While the read process in theory requires more power than the same process in a DRAM, in practice the difference appears to be very close to zero. However, the write process requires more power to overcome the existing field stored in the junction, varying from three to eight times the power required during reading.[10][11] Although the exact amount of power savings depends on the nature of the work — more frequent writing will require more power – in general MRAM proponents expect much lower power consumption (up to 99% less) compared to DRAM. STT-based MRAMs eliminate the difference between reading and writing, further reducing power requirements.

It is also worth comparing MRAM with another common memory system — flash RAM. Like MRAM, flash does not lose its memory when power is removed, which makes it very common in applications requiring persistent storage. When used for reading, flash and MRAM are very similar in power requirements. However, flash is re-written using a large pulse of voltage (about 10 V) that is stored up over time in a charge pump, which is both power-hungry and time-consuming. In addition, the current pulse physically degrades the flash cells, which means flash can only be written to some finite number of times before it must be replaced.

In contrast, MRAM requires only slightly more power to write than read, and no change in the voltage, eliminating the need for a charge pump. This leads to much faster operation, lower power consumption, and an indefinitely long lifetime.

Data retention[edit]

MRAM is often touted as being a non-volatile memory. However, the current mainstream high-capacity MRAM, spin-transfer torque memory, provides improved retention at the cost of higher power consumption, i.e., higher write current. In particular, the critical (minimum) write current is directly proportional to the thermal stability factor Δ.[12] The retention is in turn proportional to exp(Δ). The retention, therefore, degrades exponentially with reduced write current.

Speed[edit]

Dynamic random-access memory (DRAM) performance is limited by the rate at which the charge stored in the cells can be drained (for reading) or stored (for writing). MRAM operation is based on measuring voltages rather than charges or currents, so there is less "settling time" needed. IBM researchers have demonstrated MRAM devices with access times on the order of 2 ns, somewhat better than even the most advanced DRAMs built on much newer processes.[13] A team at the German Physikalisch-Technische Bundesanstalt have demonstrated MRAM devices with 1 ns settling times, better than the currently accepted theoretical limits for DRAM, although the demonstration was a single cell.[14] The differences compared to flash are far more significant, with write speeds as much as thousands of times faster. However, these speed comparisons are not for like-for-like current. High-density memory requires small transistors with reduced current, especially when built for low standby leakage. Under such conditions, write times shorter than 30 ns may not be reached so easily. In particular, to meet solder reflow stability of 260 °C over 90 seconds, 250 ns pulses have been required.[15] This is related to the elevated thermal stability requirement driving up the write bit error rate. In order to avoid breakdown from higher current, longer pulses are needed.

For the perpendicular STT MRAM, the switching time is largely determined by the thermal stability Δ as well as the write current.[16] A larger Δ (better for data retention) would require a larger write current or a longer pulse. A combination of high speed and adequate retention is only possible with a sufficiently high write current.

The only current memory technology that easily competes with MRAM in terms of performance at comparable density is static random-access memory (SRAM). SRAM consists of a series of transistors arranged in a flip-flop, which will hold one of two states as long as power is applied. Since the transistors have a very low power requirement, their switching time is very low. However, since an SRAM cell consists of several transistors, typically four or six, its density is much lower than DRAM. This makes it expensive, which is why it is used only for small amounts of high-performance memory, notably the CPU cache in almost all modern central processing unit designs.

Although MRAM is not quite as fast as SRAM, it is close enough to be interesting even in this role. Given its much higher density, a CPU designer may be inclined to use MRAM to offer a much larger but somewhat slower cache, rather than a smaller but faster one. It remains to be seen how this trade-off will play out in the future.

Endurance[edit]

The endurance of MRAM is affected by write current, just like retention and speed, as well as read current. When the write current is sufficiently large for speed and retention, the probability of MTJ breakdown needs to be considered.[17] If the read current/write current ratio is not small enough, read disturb becomes more likely, i.e., a read error occurs during one of the many switching cycles. The read disturb error rate is given by

,

where τ is the relaxation time (1 ns) and Icrit is the critical write current.[18] Higher endurance requires a sufficiently low . However, a lower Iread also reduces read speed.[19]

Endurance is mainly limited by the possible breakdown of the thin MgO layer.[20][21]

Overall[edit]

MRAM has similar performance to SRAM, enabled by the use of sufficient write current. However, this dependence on write current also makes it a challenge to compete with the higher density comparable to mainstream DRAM and Flash. Nevertheless, some opportunities for MRAM exist where density need not be maximized. From a fundamental physics point of view, the spin-transfer torque approach to MRAM is bound to a "rectangle of death" formed by retention, endurance, speed, and power requirements, as covered above.

Design parameter level Retention Endurance Speed Power
High write current + − (breakdown) +
Low write current − (read disturb) +
High Δ + − (breakdown) − (higher current)
Low Δ − (read disturb) + + (lower current)

While the power-speed tradeoff is universal for electronic devices, the endurance-retention tradeoff at high current and the degradation of both at low Δ is problematic. Endurance is largely limited to 108 cycles.[22]

Alternatives to MRAM[edit]

Flash and EEPROM's limited write-cycles are a serious problem for any real RAM-like role. In addition, the high power needed to write the cells is a problem in low-power nodes, where non-volatile RAM is often used. The power also needs time to be "built up" in a device known as a charge pump, which makes writing dramatically slower than reading, often as low as 1/1000 as fast. While MRAM was certainly designed to address some of these issues, a number of other new memory devices are in production or have been proposed to address these shortcomings.

To date, the only similar system to enter widespread production is ferroelectric RAM, or F-RAM (sometimes referred to as FeRAM).

Also seeing renewed interest are silicon-oxide-nitride-oxide-silicon (SONOS) memory and ReRAM. 3D XPoint has also been in development, but is known to have a higher power budget than DRAM.[23]

History[edit]

First 200mm 1 Mb MRAM wafer, fabricated by Motorola, 2001
  • 1955 — Magnetic-core memory had the same reading writing principle as MRAM
  • 1984 — Arthur V. Pohm and James M. Daughton, while working for Honeywell, developed the first magnetoresistance memory devices.[24][25]
  • 1988 — European scientists (Albert Fert and Peter Grünberg) discovered the "giant magnetoresistive effect" in thin-film structures.[26]
  • 1989 — Pohm and Daughton left Honeywell to form Nonvolatile Electronics, Inc. (later renamed to NVE Corp.) sublicensing the MRAM technology they have created.[24]
  • 1995 — Motorola (later to become Freescale Semiconductor, and subsequently NXP Semiconductors) initiates work on MRAM development
  • 1996 — Spin torque transfer is proposed[27][28]
  • 1997 — Sony published the first Japan Patent Application for S.P.I.N.O.R. (Spin Polarized Injection Non-Volatile Orthogonal Read/Write RAM), a forerunner of STT RAM.[29]
  • 1998 — Motorola develops 256 Kb MRAM test chip.[30]
  • 2000 — IBM and Infineon established a joint MRAM development program.
  • 2000 — Spintec laboratory's first Spin-Torque Transfer patent.
  • 2002
    • NVE announces technology exchange with Cypress Semiconductor.
    • Toggle patent granted to Motorola[31]
  • 2003 — A 128 kbit MRAM chip was introduced, manufactured with a 180 nm lithographic process
  • 2004
    • June — Infineon unveiled a 16-Mbit prototype, manufactured with a 180 nm lithographic process
    • September — MRAM becomes a standard product offering at Freescale.
    • October — Taiwan developers of MRAM tape out 1 Mbit parts at TSMC.
    • October — Micron drops MRAM, mulls other memories.
    • December — TSMC, NEC and Toshiba describe novel MRAM cells.
    • December — Renesas Technology promotes a high performance, high-reliability MRAM technology.
    • Spintech laboratory's first observation of Thermal Assisted Switching (TAS) as MRAM approach.
    • Crocus Technology is founded; the company is a developer of second-generation MRAM
  • 2005
    • January — Cypress Semiconductor samples MRAM, using NVE IP.
    • March — Cypress to Sell MRAM Subsidiary.
    • June — Honeywell posts data sheet for 1-Mbit rad-hard MRAM using a 150 nm lithographic process.
    • August — MRAM record: memory cell runs at 2 GHz.
    • November — Renesas Technology and Grandis collaborate on development of 65 nm MRAM employing spin torque transfer (STT).
    • November — NVE receives an SBIR grant to research cryptographic tamper-responsive memory.[32]
    • December — Sony announced Spin-RAM, the first lab-produced spin-torque-transfer MRAM, which utilizes a spin-polarized current through the tunneling magnetoresistance layer to write data. This method consumes less power and is more scalable than conventional MRAM. With further advances in materials, this process should allow for densities higher than those possible in DRAM.
    • December — Freescale Semiconductor Inc. demonstrates an MRAM that uses magnesium oxide, rather than an aluminum oxide, allowing for a thinner insulating tunnel barrier and improved bit resistance during the write cycle, thereby reducing the required write current.
    • Spintec laboratory gives Crocus Technology exclusive license on its patents.
  • 2006
    • February — Toshiba and NEC announced a 16 Mbit MRAM chip with a new "power-forking" design. It achieves a transfer rate of 200 Mbit/s, with a 34 ns cycle time, the best performance of any MRAM chip. It also boasts the smallest physical size in its class — 78.5 square millimeters — and the low voltage requirement of 1.8 volts.[33]
    • July — On July 10, Austin Texas — Freescale Semiconductor begins marketing a 4-Mbit MRAM chip, which sells for approximately $25.00 per chip.[34][35]
  • 2007
    • R&D moving to spin transfer torque RAM (SPRAM)
    • February — Tohoku University and Hitachi developed a prototype 2-Mbit non-volatile RAM chip employing spin-transfer torque switching.[36]
    • August — "IBM, TDK Partner In Magnetic Memory Research on Spin Transfer Torque Switching" IBM and TDK to lower the cost and boost performance of MRAM to hopefully release a product to market.[37]
    • November — Toshiba applied and proved the spin-transfer torque switching with perpendicular magnetic anisotropy MTJ device.[38]
    • November — NEC develops world's fastest SRAM-compatible MRAM with operation speed of 250 MHz.[39]
  • 2008
    • Japanese satellite, SpriteSat, to use Freescale MRAM to replace SRAM and FLASH components[40]
    • June — Samsung and Hynix become partner on STT-MRAM[41]
    • June — Freescale spins off MRAM operations as new company Everspin[42][43]
    • August — Scientists in Germany have developed next-generation MRAM that is said to operate as fast as fundamental performance limits allow, with write cycles under 1 nanosecond.
    • November — Everspin announces BGA packages, product family from 256Kb to 4Mb[44]
  • 2009
    • June — Hitachi and Tohoku University demonstrated a 32-Mbit spin-transfer torque RAM (SPRAM).[45]
    • June — Crocus Technology and Tower Semiconductor announce deal to port Crocus' MRAM process technology to Tower's manufacturing environment[46]
    • November — Everspin releases SPI MRAM product family[47] and ships first embedded MRAM samples
  • 2010
    • April — Everspin releases 16Mb density[48][49]
    • June — Hitachi and Tohoku Univ announce Multi-level SPRAM[50]
  • 2011
    • March — PTB, Germany, announces below 500 ps (2Gbit/s) write cycle[51]
  • 2012
  • 2013
    • November — Buffalo Technology and Everspin announce a new industrial SATA III SSD that incorporates Everspin's Spin-Torque MRAM (ST-MRAM) as cache memory.[55]
  • 2014
    • January — Researchers announce the ability to control the magnetic properties of core/shell antiferromagnetic nanoparticles using only temperature and magnetic field changes.[56]
    • October — Everspin partners with GlobalFoundries to produce ST-MRAM on 300 mm wafers.[57]
  • 2016
    • April — Samsung's semiconductor chief Kim Ki-nam says Samsung is developing an MRAM technology that "will be ready soon".[58]
    • July — IBM and Samsung report an MRAM device capable of scaling down to 11 nm with a switching current of 7.5 microamps at 10 ns.[59]
    • August — Everspin announced it was shipping samples of the industry's first 256Mb ST-MRAM to customers.[60]
    • October — Avalanche Technology partners with Sony Semiconductor Manufacturing to manufacture STT-MRAM on 300 mm wafers, based on "a variety of manufacturing nodes".[61]
    • December — Inston and Toshiba independently present results on voltage-controlled MRAM at International Electron Devices Meeting.[62]
  • 2019
    • January — Everspin starts shipping samples of 28 nm 1Gb STT-MRAM chips.[63]
    • March — Samsung commence commercial production of its first embedded STT-MRAM based on a 28 nm process.[64]
    • May — Avalanche partners with United Microelectronics Corporation to jointly develop and produce embedded MRAM based on the latter's 28 nm CMOS manufacturing process.[65]
  • 2020
    • December — IBM announces a 14 nm MRAM node.[66]
  • 2021

Applications[edit]

Possible practical application of the MRAM includes virtually every device that has some type of memory inside such as aerospace and military systems, digital cameras, notebooks, smart cards, mobile telephones, cellular base stations, personal computers, battery-backed SRAM replacement, datalogging specialty memories (black box solutions), media players, and book readers etc.

See also[edit]

References[edit]

  1. ^ United States 4731757A, "Magnetoresistive memory including thin film storage cells having tapered ends" 
  2. ^ Akerman, J. (2005). "APPLIED PHYSICS: Toward a Universal Memory". Science. 308 (5721): 508–510. doi:10.1126/science.1110549. PMID 15845842. S2CID 60577959.
  3. ^ Fuxi, Gan; Yang, Wang (February 9, 2015). Data Storage at the Nanoscale: Advances and Applications. CRC Press. ISBN 9789814613200 – via Google Books.
  4. ^ Engel, B. N.; Akerman, J.; Butcher, B.; Dave, R. W.; Deherrera, M.; Durlam, M.; Grynkewich, G.; Janesky, J.; Pietambaram, S. V.; Rizzo, N. D.; Slaughter, J. M.; Smith, K.; Sun, J. J.; Tehrani, S. (2005). "A 4-Mb toggle MRAM based on a novel bit and switching method". IEEE Transactions on Magnetics. 41 (1): 132. Bibcode:2005ITM....41..132E. doi:10.1109/TMAG.2004.840847. S2CID 38616311.
  5. ^ "Renesas, Grandis to Collaborate on Development of 65 nm MRAM Employing Spin Torque Transfer", 1 December 2005.
  6. ^ "Lower Switching Current for Spin-Torque Transfer in Magnetic Storage Devices such as Magnetoresistive Random Access Memory (MRAM)". University of Minnesota. Retrieved 15 August 2011.
  7. ^ Y. Huai, "Spin-Transfer Torque MRAM (STT-MRAM): Challenges and Prospects", AAPPS Bulletin, December 2008, vol. 18, no. 6, p. 33.
  8. ^ "How MRAM Works".
  9. ^ Sbiaa, R.; Meng, H.; Piramanayagam, S. N. (2011). "Materials with perpendicular magnetic anisotropy for magnetic random access memory". Physica Status Solidi RRL. 5 (12): 413. Bibcode:2011PSSRR...5..413S. doi:10.1002/pssr.201105420. S2CID 98626346.
  10. ^ Gallagher, W.J.; Parkin, S.S.P. (24 January 2006). "Development of the magnetic tunnel junction MRAM at IBM: From first junctions to a 16-Mb MRAM demonstrator chip". IBM.
  11. ^ Desikan, Rajagopalan; et al. (27 September 2002). "On-chip MRAM as a High-Bandwidth, Low-Latency Replacement for DRAM Physical Memories" (PDF). Department of Computer Sciences, University of Texas at Austin.
  12. ^ "Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory" (PDF).
  13. ^ "Past, Present and Future of MRAM", NIST Magnetic Technology, 22 July 2003
  14. ^ Kate McAlpine, "Spin flip trick points to fastest RAM yet", NewScientist, 13 August 2008
  15. ^ L. Thomas et al., S3S 2017
  16. ^ Khvalkovskiy, A.V.; Apalkov, D.; Watts, S.; Chepulskii, R.; Beach, R S.; Ong, A.; Tang, X.; Driskill-Smith, A.; Butler, W.H.; Visscher, P.B.; Lottis, D.; Chen, E.; Nikitin, V.; Krounbi, M. (2013). "Basic principles of STT-MRAM cell operation in memory arrays". Journal of Physics D: Applied Physics. 46 (7): 074001. Bibcode:2013JPhD...46g4001K. doi:10.1088/0022-3727/46/7/074001. S2CID 110519121.
  17. ^ Schäfers, M.; Drewello, V.; Reiss, G.; Thomas, A.; Thiel, K.; Eilers, G.; Münzenberg, M.; Schuhmann, H.; Seibt, M. (2009). "Electric breakdown in ultra-thin MgO tunnel barrier junctions for spin-transfer torque switching". Applied Physics Letters. 95 (23): 232119. arXiv:0907.3579. Bibcode:2009ApPhL..95w2119S. doi:10.1063/1.3272268. S2CID 119251634.
  18. ^ Bishnoi, R.; Ebrahimi, M.; Oboril, F.; Tahoori, M.B. (2014). "Read disturb fault detection in STT-MRAM". 2014 International Test Conference. pp. 1–7. doi:10.1109/TEST.2014.7035342. ISBN 978-1-4799-4722-5. S2CID 7957290.
  19. ^ Chang, M.; Shen, S.; Liu, C.; Wu, C.; Lin, Y.; King, Y.; Lin, C.; Liao, H.; Chih, Y.; Yamauchi, H. (March 2013). "An Offset-Tolerant Fast-Random-Read Current-Sampling-Based Sense Amplifier for Small-Cell-Current Nonvolatile Memory". IEEE Journal of Solid-State Circuits. 48 (3): 864–877. Bibcode:2013IJSSC..48..864C. doi:10.1109/JSSC.2012.2235013. S2CID 23020634.
  20. ^ "Breakdown-Limited Write Time Windows for STT-MRAM". www.linkedin.com.
  21. ^ J. H. Lim et al., "Investigating the Statistical-Physical Nature of MgO Dielectric Breakdown in STT-MRAM at Different Operating Conditions," IEDM 2018.
  22. ^ "StackPath".
  23. ^ February 2018, Paul Alcorn 26 (26 February 2018). "Lenovo Dishes On 3D XPoint DIMMS, Apache Pass In ThinkSystem SD650". Tom's Hardware.{{cite web}}: CS1 maint: numeric names: authors list (link)
  24. ^ a b "James Daughton, Magnetoresistive Random Access Memory (MRAM)" (PDF).
  25. ^ "NASA JPL, MRAM Technology Status" (PDF).
  26. ^ "GMR: A Giant Leap for IBM Research". Archived from the original on 2012-01-11.
  27. ^ L Berger (October 1996). "Emission of spin waves by a magnetic multilayer traversed by a current". Physical Review B. 54 (13): 9353–8. Bibcode:1996PhRvB..54.9353B. doi:10.1103/physrevb.54.9353. PMID 9984672.
  28. ^ Slonczewski, J.C. (October 1996). "Current-driven excitation of magnetic multilayers". Journal of Magnetism and Magnetic Materials. 159 (1–2): L1–L7. Bibcode:1996JMMM..159L...1S. doi:10.1016/0304-8853(96)00062-5.
  29. ^ Maiken, Eric. "Nonvolatile random access memory device". patents.google.com. Japan Patent Office. Retrieved 20 May 2023.
  30. ^ N.P. Vasil'eva (October 2003), "Magnetic Random Access Memory Devices", Automation and Remote Control, 64 (9): 1369–85, doi:10.1023/a:1026039700433, S2CID 195291447
  31. ^ States6633498 United States 6633498, Engel; Bradley N., Janesky; Jason Allen, Rizzo; Nicholas D., "Magnetoresistive random access memory with reduced switching field" 
  32. ^ "NSF Award Search: Award#0539675 - SBIR Phase I: Zero-Remanence Tamper-Responsive Cryptokey Memory". www.nsf.gov.
  33. ^ "Toshiba and NEC Develop World's Fastest, Highest Density MRAM" (Press release). NEC Corporation. 2006-02-07. Retrieved 2006-07-10.
  34. ^ "Freescale Leads Industry in Commercializing MRAM Technology" (Press release). Freescale Semiconductor. 2006-07-10. Archived from the original on 2007-10-13. Retrieved 2006-07-10.
  35. ^ Lammers, David (October 7, 2006). "MRAM debut cues memory transition". EE Times.
  36. ^ "Prototype 2 Mbit Non-Volatile RAM Chip Employing Spin-Transfer Torque Writing Method" (Press release). Hitachi Ltd. 2007-02-13. Retrieved 2007-02-13.
  37. ^ "IBM and TDK Launch Joint Research & Development Project for Advanced MRAM" (Press release). IBM. 2007-08-19. Retrieved 2007-08-22.
  38. ^ "Toshiba develops new MRAM device that opens the way to giga-bits capacity" (Press release). Toshiba Corporation. 2007-11-06. Retrieved 2007-11-06.
  39. ^ "NEC Develops World's Fastest SRAM-Compatible MRAM With Operation Speed of 250MHz" (Press release). NEC Corporation. 2007-11-30. Retrieved 2007-12-01.
  40. ^ Greenemeier, Larry. "Japanese Satellite First to Use Magnetic Memory". Scientific American.
  41. ^ "Samsung, Hynix partner on STT-MRAM". Archived from the original on 2008-11-12. Retrieved 2008-10-01.
  42. ^ "Wayback Machine" (PDF). Archived from the original (PDF) on 2012-07-26.
  43. ^ de la Merced, Michael J. (June 9, 2008). "Chip Maker to Announce It Will Spin Off Memory Unit". The New York Times.
  44. ^ LaPedus, Mark (November 13, 2008). "Freescale's MRAM spin-off rolls new devices". EE Times.
  45. ^ [1] Archived May 31, 2009, at the Wayback Machine
  46. ^ "News | Crocus Technology". Archived from the original on April 22, 2010.
  47. ^ Johnson, R Colin (November 16, 2009). "MRAM chips go serial in smart meters". EE Times.
  48. ^ Ron Wilson (April 19, 2010). "Everspin MRAM reaches 16 Mbits, looks toward embedded use in SoCs". EDN. Archived from the original on January 21, 2013.
  49. ^ David Manners (April 20, 2010). "Everspin Launches 16Mbit MRAM, Volume In July". Electronics Weekly.
  50. ^ Motoyuki Ooishi; Nikkei Electronics (2010-06-23). "[VLSI] Hitachi, Tohoku Univ Announce Multi-level Cell SPRAM — Tech-On!". Techon.nikkeibp.co.jp. Retrieved 2014-01-09.
  51. ^ "Extremely fast MRAM data storage within reach" (Press release). PTB. 2011-03-08. Retrieved 2011-03-09.
  52. ^ Charlie Demerjian (November 16, 2012). "Everspin makes ST-MRAM a reality, LSI AIS 2012: Non-volatile memory with DDR3 speeds". SemiAccurate.com.
  53. ^ "Everspin press release" (PDF). Archived from the original (PDF) on March 30, 2013.
  54. ^ "Voltage-controlled MRAM: Status, challenges and prospects". EE Times.
  55. ^ "Everspin ST-MRAM Incorporated for Cache Memory Into Buffalo Memory SSD". Business Wire. 2013-11-18. Retrieved 2014-01-09.
  56. ^ "Magnetic nanoparticles breakthrough could help shrink digital storage". Gizmag.com. 8 January 2014. Retrieved 2014-01-09.
  57. ^ [email protected] (2014-10-27). "Everspin and GLOBALFOUNDRIES Partner to Supply Fully Processed 300mm CMOS Wafers with Everspin's ST-MRAM Technology". GLOBALFOUNDRIES. Retrieved 2020-08-22.{{cite web}}: CS1 maint: numeric names: authors list (link)
  58. ^ Kim, Yoo-chul (20 April 2016). "Cheil Worldwide acquires Founded". Koreatimes.co.kr. Korea Times. Retrieved 27 June 2016. 'Yes, Samsung will commercialize MRAMs and ReRAMs according to our own schedule. We are on our way and will be ready soon,' Kim told reporters.
  59. ^ "Researchers celebrate 20th anniversary of IBM's invention of Spin Torque MRAM by demonstrating scalability for the next decade — IBM Blog Research". IBM Blog Research. 2016-07-07. Retrieved 2016-07-11.
  60. ^ Strong, Scott (August 5, 1026). "Everspin Announces Sampling of Industry's First 256Mb Perpendicular Spin Torque MRAM to Customers". The SSD Review.
  61. ^ "Sony revealed as MRAM foundry for Avalanche". eeNews Analog. 2016-10-31. Retrieved 2020-08-22.
  62. ^ "IEDM: Magnetic RAM debuts as 28nm embedded NVM | EETE Analog". EE Times. Archived from the original on 2017-03-03. Retrieved 2017-03-03.
  63. ^ "Everspin starts to ship customer samples of its 28nm 1Gb STT-MRAM chips | MRAM-Info". www.mram-info.com. Retrieved 2019-12-03.
  64. ^ "Samsung Says It's Shipping 28-nm Embedded MRAM". EE Times.
  65. ^ "UMC and Avalanche Technology Partner for MRAM Development and 28nm Production". Avalanche Technology. 2018-08-06. Retrieved 2020-08-22.
  66. ^ "IBM to reveal the world's first 14nm STT-MRAM node". 2020-12-15. Retrieved 2020-12-17.
  67. ^ "TSMC shows its eMRAM technology roadmap | MRAM-Info". www.mram-info.com. Retrieved 2021-05-16.
  68. ^ Chia-nan, Lin. "Local researchers make advanced MRAM device". www.taipeitimes.com. Taipei Times. Retrieved 9 November 2021.

External links[edit]